王朝网络
分享
 
 
 

EDA技术实用教程(普通高等教育十一五国家级规划教材)

王朝导购·作者佚名
 
EDA技术实用教程(普通高等教育十一五国家级规划教材)  点此进入淘宝搜索页搜索
  特别声明:本站仅为商品信息简介,并不出售商品,您可点击文中链接进入淘宝网搜索页搜索该商品,有任何问题请与具体淘宝商家联系。
  参考价格: 点此进入淘宝搜索页搜索
  分类: 图书,教材教辅与参考书,大学,计算机专业,
  品牌: 潘松

基本信息·出版社:科学出版社

·页码:408 页

·出版日期:2006年

·ISBN:9787030179739

·条形码:9787030179739

·包装版本:第3版

·装帧:平装

·开本:16

·正文语种:中文

·丛书名:普通高等教育十一五国家级规划教材

产品信息有问题吗?请帮我们更新产品信息。

内容简介《EDA技术实用教程》根据课堂教学和实验操作的要求,以提高实际工程设计能力为目的,深入浅出地对EDA技术、VHDL硬件描述语言、FPGA开发应用及相关知识做了系统和完整的介绍,使读者通过《EDA技术实用教程》的学习并完成推荐的实验,能初步了解和掌握EDA的基本内容及实用技术。全书包括四部分内容。第一部分对EDA的基本知识、常用EDA工具的使用方法和目标器件的结构原理做了介绍:第二部分以向导的形式和实例为主的方法介绍了三种不同的设计输入方法;第三部分对VHDL的设计优化做了介绍:第四部分详述了基于EDA技术的典型设计项目。各章都安排了习题和针对性较强的实验与设计。书中列举的大部分VHDL设计实例和实验示例实现的EDA工具平台是Quartus II 6.0,硬件平台是Cyclone IIFPGA,并在EDA实验系统上通过了硬件测试。

《EDA技术实用教程》可作为高等院校电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等学科的本科生或研究生的电子设计、EDA技术课程和VHDL硬件描述语言的教材及实验指导书,也可作为相关专业技术人员的自学参考书。

编辑推荐《EDA技术实用教程》是由科学出版社出版的。

目录

第1章 概述

1.1 EDA技术及其发展

1.2 EDA技术实现目标

1.3 硬件描述语言VHDL

1.4 VHDL综合

1.5 基于VHDL的自顶向下设计方法

1.6 EDA技术的优势

1.7 EDA的发展趋势

习题

第2章 EDA设计流程及其工具

2.1 设计流程

2.1.1 设计输入(原理图/HDL文本编辑)

2.1.2 综合

2.1.3 适配

2.1.4 时序仿真与功能仿真

2.1.5 编程下载

2.1.6 硬件测试

2.2 ASIC及其设计流程

2.2.1 ASIC设计方法

2.2.2 一般ASIC设计的流程

2.3 常用EDA工具

2.3.1 设计输入编辑器

2.3.2 HDI_,综合器

2.3.3 仿真器

2.3.4 适配器

2.3.5 下载器

2.4 QuartusⅡ简介

2.5 IP核简介

习题

第3章 FPGA/CPLD结构与应用

3.1 概述

3.1.1 可编程逻辑器件的发展历程

3.1.2 可编程逻辑器件的分类

3.2 简单PLD原理

3.2.1 电路符号表示

3.2.2 PROM

3.2.3 PLA

3.2.4 PAL

3.2.5 GAL

3.3 CPLD结构与工作原理

3.4 FPGA结构与工作原理

3.4.1 查找表逻辑结构

3.4.2 Cyclone/CycloneⅡ系列器件的结构与原理

3.5 硬件测试技术

3.5.1 内部逻辑测试

3.5.2 JTAG边界扫描测试

3.5.3 嵌入式逻辑分析仪

3.6 FPGA/CPLD产品概述

3.6.1 Lattice公司的CPLD器件系列

3.6.2 Xilinx公司的FPGA和CPLD器件系列

3.6.3 Altera公司的FPGA和CPLD器件系列

3.6.4 Actel公司的FPGA器件

3.6.5 Altera公司的FPGA配置方式与配置器件

3.7 编程与配置

3.7.1 JTAG方式的在系统编程

3.7.2 使用PC并行口配置FPGA

3.7.3 FPGA专用配置器件

3.7.4 使用单片机配置FPGA

3.7.5 使用CPLD配置FPGA

习题

第4章 VHDL设计初步

4.1 多路选择器的VHDL描述

4.1.1 2选1多路选择器的VHDL描述

4.1.2 相关语句结构和语法说明

4.2 寄存器描述及其VHDL语言现象

4.2.1 D触发器的VHDL描述

4.2.2 VHDL描述的语言现象说明

4.2.3 实现时序电路的VHDL不同表述

4.2.4 异步时序电路设计

4.3 1位二进制全加器的VHDL描述

4.3.1 半加器描述

4.3.2 CASE语句

4.3.3 全加器描述和例化语句

4.4 计数器设计

4.4.1 4位二进制加法计数器设计

4.4.2 整数类型

4.4.3 计数器设计的其他表达方式

4.5 一般加法计数器设计

4.5.1 相关语法说明

4.5.2 程序分析

4.5.3 含并行置位的移位寄存器设计

习题

第5章 QuartusII应用向导

5.1 基本设计流程

5.1.1 建立工作库文件夹和编辑设计文件

5.1.2 创建工程

5.1.3 编译前设置

5.1.4 全程编译

5.1.5 时序仿真

5.1.6 应用RTL电路图观察器

5.2 引脚设置和下载

5.2.1 引脚锁定

5.2.2 配置文件下载

5.2.3 AS模式编程配置器件

5.2.4 JTAG间接模式编程配置器件

5.2.5 USBBlaster编程配置器件使用方法

5.3 嵌入式逻辑分析仪使用方法

5.4 原理图输入设计方法

5.4.1 设计流程

5.4.2 应用宏模块的原理图设计

习题

实验与设计

5.1 组合电路的设计

5.2 时序电路的设计

5.3 设计含异步清零和同步时钟使能的加法计数器

5.4 用原理图输入法设计8位全加器

5.5 用原理图输入法设计较复杂数字系统

第6章 VHDL设计进阶

6.1 数据对象

6.1.1 常数

6.1.2 变量

6.1.3 信号

6.1.4 进程中的信号与变量赋值

6.2 双向和三态电路信号赋值例解

6.2.1 三态门设计

6.2.2 双向端口设计

6.2.3 三态总线电路设计

6.3 IF语句概述

6.4 进程语句归纳

6.4.1 进程语句格式

6.4.2 进程结构组成

6.4.3 进程要点

6.5 并行语句例解

6.6 仿真延时

6.6.1 固有延时

6.6.2 传输延时

6.6.3 仿真

习题

实验与设计

6.1 7段数码显示译码器设计

6.2 8位数码扫描显示电路设计

6.3 数控分频器的设计

6.4 32位并进,并出移位寄存器设计

第7章 宏功能模块与IP应用

7.1 宏功能模块概述

7.1.1 知识产权核的应用

7.1.2 使用MegaWizardPlug-InManager

7.1.3 在QuartusII中对宏功能模块进行例化

7.2 宏模块应用实例

7.2.1 工作原理

7.2.2 定制初始化数据文件

7.2.3 定制LPM_ROM元件

7.2.4 完成顶层设计

7.3 在系统存储器数据读写编辑器应用

7.4 编辑SignalTapII的触发信号

7.5 其他存储器模块的定制与应用

7.5.1 RAM定制

7.5.2 FIFO定制

7.6 流水线乘法累加器的混合输入设计

……

第8章 状态机设计

第9章 VHDL结构与要素

第10章 VHDL基本语句

第11章 优化和时序分析

第12章 系统仿真

第13章 电子系统设计实践

附录 EDA实验系统简介

主要参考文献

……[看更多目录]

序言随着基于PLD的EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对EDA的需求不断提高,产品的市场效率和技术要求也必然会反映到教学和科研领域中来。’ 以全国大学生电子设计竞赛为例,直到上届赛事中,需要使用EDA技术的赛题超过全部赛题的三分之一,其中有的赛题达到了如果没有EDA技术,将无从下手的程度。事实上,电子设计竞赛赛题的内容既是市场产品要求和技术进步的一种反映,也是对高校相关教学实验内容改革的要求和促进。对美国一些高校电子与计算机实验室建设情况的调研结果表明,许多著名院校基于PLD的EDA技术在本科教学中有两个明显的特点:一是各专业中EDA教学实验课程的普及率极高;二是在实验中。EDA试验成为主流,大部分传统的实验如数字电路、计算机组成、接口、通信、处理器等实验内容,都融入了EDA实验,并更多地注重创新性实验。这显然是科技发展和市场需求的结果。

为了适应EDA技术的发展和EDA技术教学实验的要求,更加突出实验中EDA技术的实用性,以及面向工程实际的特点和电子设计的自主创新性,本书第三版在实验类型和内容上都有所增加,每一实验的层次性更加清楚,并注重学生设计能力和自主创新能力的培养,以及与工程实际相结合的动手能力的培养。另一方面,在实验内容的选择上更突出EDA技术的特点和优势,所以将原来的“实验”改为“实验与设计”。在章节的安排上也做了一定的调整。在实验项目上增加和扩充了一些与全国大学生电子设计竞赛典型赛题相关的内容,对于比较典型的设计项目给出了更为详尽的说明。

文摘插图:

EDA技术实用教程(普通高等教育十一五国家级规划教材)

对设计者而言有两种情况,~是在高抽象层次进行系统设计并利用综合工具将设计转化为低层次的表示,二是直接在低抽象层次上设计系统,这类似于一个程序员用高级语言编程并用编译器将程序编译成机器代码和直接用机器代码进行编程的情况。在前一种情况下,设计者可以将精力主要集中于系统级问题上,而不必关心低级结构设计的细节问题。因此将减少设计和编程所花费的时间和精力,并且减少错误的发生。

另一方面,尽管从表面上看,VHDL,等硬件描述语言综合器和软件程序编译器都不过是一种“翻译器”,它们都能将高层次的设计表达转化为低层次的表达,但它们却具有许多本质的区别(如图1.2所示)。

编译器将软件程序翻译成基于某种特定CPIJ的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CP[J的硬件结构,只能被动地为其特定的硬件电路结构所利用。如果脱离了已有的硬件环境(CPU),机器代码将失去意义。此外,编译器作为一种软件的运行,除了某种单一目标器件,即CPU的硬件结构外,不需要任何与硬件相关的器件库和工艺库参与编译。因而,编译器的工作单纯得多,编译过程基本属于一种一一对应式的,机械转换式的“翻译”行为。

综合器则不同,同样是类似的软件代码(如VHDL程序),综合器转化的目标是底层的电路结构网表文件,这种满足原设计程序功能描述的电路结构不依赖于任何特定硬件环境,因此可以独立地存在,并能轻易地被移植到任何通用硬件环境中,如ASIC、FPGA等。换言之,电路网表代表了特定的硬件结构,因此具备了随时改变硬件结构的依据。综合的结果具有相对独立性。另一方面,综合器在将硬件描述语言表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。这就是说,对于相同的VHDL表述,综合器可以用不同的电路结构实现相同的功能。

 
 
免责声明:本文为网络用户发布,其观点仅代表作者个人观点,与本站无关,本站仅提供信息存储服务。文中陈述内容未经本站证实,其真实性、完整性、及时性本站不作任何保证或承诺,请读者仅作参考,并请自行核实相关内容。
2023年上半年GDP全球前十五强
 百态   2023-10-24
美众议院议长启动对拜登的弹劾调查
 百态   2023-09-13
上海、济南、武汉等多地出现不明坠落物
 探索   2023-09-06
印度或要将国名改为“巴拉特”
 百态   2023-09-06
男子为女友送行,买票不登机被捕
 百态   2023-08-20
手机地震预警功能怎么开?
 干货   2023-08-06
女子4年卖2套房花700多万做美容:不但没变美脸,面部还出现变形
 百态   2023-08-04
住户一楼被水淹 还冲来8头猪
 百态   2023-07-31
女子体内爬出大量瓜子状活虫
 百态   2023-07-25
地球连续35年收到神秘规律性信号,网友:不要回答!
 探索   2023-07-21
全球镓价格本周大涨27%
 探索   2023-07-09
钱都流向了那些不缺钱的人,苦都留给了能吃苦的人
 探索   2023-07-02
倩女手游刀客魅者强控制(强混乱强眩晕强睡眠)和对应控制抗性的关系
 百态   2020-08-20
美国5月9日最新疫情:美国确诊人数突破131万
 百态   2020-05-09
荷兰政府宣布将集体辞职
 干货   2020-04-30
倩女幽魂手游师徒任务情义春秋猜成语答案逍遥观:鹏程万里
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案神机营:射石饮羽
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案昆仑山:拔刀相助
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案天工阁:鬼斧神工
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案丝路古道:单枪匹马
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案镇郊荒野:与虎谋皮
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案镇郊荒野:李代桃僵
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案镇郊荒野:指鹿为马
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案金陵:小鸟依人
 干货   2019-11-12
倩女幽魂手游师徒任务情义春秋猜成语答案金陵:千金买邻
 干货   2019-11-12
 
>>返回首页<<
推荐阅读
 
 
频道精选
 
更多商品
教学中的关键事件/中小学校本教研热点译丛(中小学校本教研热点译丛)
课程中的创造性/中小学校本教研热点译丛(中小学校本教研热点译丛)
英语(新课标2008年中考必备)/2007年浙江省中考试卷集(2007年浙江省中考试卷集)
喜羊羊与灰太狼(6牧羊犬灰灰)
语文(新课标2008年中考必备)/2007年浙江省中考试卷集(2007年浙江省中考试卷集)
科学(新课标2008年中考必备)/2007年浙江省中考试卷集(2007年浙江省中考试卷集)
由企业看职业院校:职业教育管理新视角(中国职业教育管理书系)
口语应试指南(冲刺篇)(附光盘)(TOFEL iBL 新托福一遍通)(光盘1张)
综合应试指南(附光盘冲刺篇)(TOEFL iBT新托福一遍通系列)(附VCD光盘一张)
新托福一遍通:听力应试指南(冲刺篇)(附光盘)(TOEFL iBT新托福一遍通系列)(光盘1张)
 
静静地坐在废墟上,四周的荒凉一望无际,忽然觉得,凄凉也很美
© 2005- 王朝网络 版权所有